Computing Reviews
Today's Issue Hot Topics Search Browse Recommended My Account Log In
Review Help
Search
StageNetSlice: a reconfigurable microarchitecture building block for resilient CMP systems
Gupta S., Feng S., Ansari A., Blome J., Mahlke S.  CASES 2008 (Proceedings of the 2008 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, Atlanta, GA, Oct 19-24, 2008)1-10.2008.Type:Proceedings
Date Reviewed: Feb 26 2009

The paper begins with a reliability analysis to justify the selection of pipeline stages as the granularity level for redundant elements. StageNetSlice (SNS) is a complete pipeline design, modified to allow reconfiguration. The CMP system is a set of parallel pipelines made reconfigurable by connecting successive stages with replicated crossbar switches. The severe performance penalties introduced into the pipeline to achieve reconfiguration are alleviated by adding additional redundant elements.

The example design with five pipelined parallel computers gives up 11 percent in performance and 12 percent in area, compared to a design with no redundancy. I consider that an excellent investment. Gupta et al. claim that the final design is highly robust; I agree. The reliability of the final design is an open question, since the authors do not present details related to fault detection, fault diagnosis, and reconfiguration algorithms. Clearly, these operations must also be fault tolerant, in order to achieve high levels of reliability. Fault coverage is also not discussed. This is a critical issue, particularly as it relates to the fault detection, diagnosis, and reconfiguration strategies.

This paper contains a considerable amount of useful information related to the design of reconfigurable, multiprocessor-pipelined architectures. However, the reader must superimpose his or her own fault detection, diagnosis, and reconfiguration strategies onto the proposed design and depend on the robustness of the design to support these activities.

Reviewer:  F. Gail Gray Review #: CR136536 (1006-0581)
Bookmark and Share
 
Reliability, Testing, And Fault-Tolerance (B.8.1 )
 
 
General (C.1.0 )
 
Would you recommend this review?
yes
no
Other reviews under "Reliability, Testing, And Fault-Tolerance": Date
Scheduling tests for VLSI systems under power constraints
Chou R., Saluja K. (ed), Agrawal V. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 5(2): 175-185, 1997. Type: Article
Feb 1 1998
Introduction to IDDQ testing
Chakravarty S., Thadikaran P., Kluwer Academic Publishers, Norwell, MA, 1997. Type: Book (9780792399452)
Feb 1 1998
Fault-tolerant self-organizing map implemented by wafer-scale integration
Yasunaga M., Hachiya I., Moki K., Kim J. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 6(2): 257-265, 1998. Type: Article
Oct 1 1998
more...

E-Mail This Printer-Friendly
Send Your Comments
Contact Us
Reproduction in whole or in part without permission is prohibited.   Copyright 1999-2024 ThinkLoud®
Terms of Use
| Privacy Policy