Computing Reviews
Today's Issue Hot Topics Search Browse Recommended My Account Log In
Review Help
Search
   Delay/power modeling and optimization of FinFET circuit modules under PVT variations:observing the trends between the 22nm and 14nm technology nodes
Tang A., Gao X., Chen L., Jha N. ACM Journal on Emerging Technologies in Computing Systems12(4):1-21,2016.Type:Article
 
     
     
 
   
To:  
Your Colleague's E-mail:
   
From:  
Your E-mail:
   
Subject: Reviews: Delay/power modeling and optimization of FinFET circuit modules under PVT variations
   
Message Body:
 
     
 
 
Send Your Comments
Contact Us
Reproduction in whole or in part without permission is prohibited.   Copyright 1999-2024 ThinkLoud®
Terms of Use
| Privacy Policy